IP cores FPGA/VHDL

IP cores / VHDL source code.
COM-1008SOFT Variable decimation, VHDL source/IP core

COM-1008SOFT Variable decimation, VHDL source/IP core

Variable Decimation (1:1024), AGC, Pilot Tone Detection, VHDL source code / IP Unlimited license to make and use. See the complete licensing terms at...
$500.00

Add:

COM-1005SOFT Bit Error Rate measurement, VHDL source/IP core

COM-1005SOFT Bit Error Rate measurement, VHDL source/IP core

VHDL source code for Bit Error Rate testing. Includes PRBS11 test sequence generation. Unlimited license to make and use. See the complete licensing...
$500.00

Add:

COM-1001SOFT simple PSK demodulator VHDL source/IP core

COM-1001SOFT simple PSK demodulator VHDL source/IP core

The COM-1001SOFT is a digital BPSK/QPSK/OQPSK demodulator written in VHDL, for intermediate frequency or baseband input signals. It is optimized for...
$500.00

Add:

New Products For March - IP cores FPGA/VHDL

Your IP Address is: 3.83.187.36
Copyright © 2024 ComBlock. Powered by Zen Cart