COM-1008SOFT Variable decimation, VHDL source/IP core - Click Image to Close